Re: Free (as in freedom) FPGA development tools

From: silverdr_at_wfmh.org.pl
Date: Fri, 23 Dec 2016 11:46:36 +0100
Message-Id: <6DF3F746-5A21-4FEF-B60E-0D97CAD0C016@wfmh.org.pl>
> On 2016-12-22, at 23:19, Rainer Buchty <rainer@buchty.net> wrote:
> 
> On Thu, 22 Dec 2016, silverdr@wfmh.org.pl wrote:
> 
>> BTW I am still stuck with my search for working set of free like in speech tools that would allow me to program some SPLDs (CPLDs later). Or any tools that would actually work and let me translate synthesizable VHDL designs into GAL JEDEC files.
> 
> VHDL for GALs will be hard to find.

Yeah, tell me about it ;-) And even those, which theoretically should be capable of things, are no longer to find. At least from authoritative sources.

> The former Xilinx Webfitter had a VHDL frontend that could be used together with a GAL (and CPLD) fitter, but unfortunately that was discontinued several years ago and AFAIK never released as download software.
> 
> If you find something that creates logic equations from VHDL input, you could eventually translate them to be fed into PALASM1.5, WinCUPL or OpalJr which all are freely available these days.
> 
> In any case, you will most likely require some sort of translator script that creates proper PALASM or CUPL from the flattened logic equations the VHDL synthesizer (hopefully) outputs.
> 
> Given the low complexity of S/CPLDs, though, I don't think you'll gain much there from using VHDL over CUPL.

The reason for insisting on VHDL is that I am trying to build a library of tested "building blocks" that may at some point find their ways into more complex designs. So going the CUPL way for example doesn't serve a longer-term goal.

> (PALASM is more rudimentary but ok if you just want to do basic equations, truth tables, or state machines. Using PALTOGAL.EXE you can convert the JEDEC files from PAL/PALCE to GAL.)

Well, the only way I found but not yet tested is to output for PAL from "Cypress Galaxy WARP" and then use PALTOGAL.EXE. After some struggle I got the copy of the "Cypress Galaxy WARP", which (unlike ispLever) didn't break on my VHDL and was able to output something. I still need to find the PALTOGAL stuff and try if that works somehow.

-- 
SD!


       Message was sent through the cbm-hackers mailing list
Received on 2016-12-23 11:02:21

Archive generated by hypermail 2.2.0.