Re: Slightly OT: Up/Down-Counter, easy interfaceable to 8-bit MPU

From: Steve Judd (sjudd_at_ffd2.com)
Date: 2004-05-03 18:59:43

Hi Spiro,

On Mon, 3 May 2004, Spiro Trikaliotis wrote:

> If the counter should count up or down depends on the status of another
> input line. The is, whenever a clock pulse comes in, the states of the
> other line determines if this clock pulse is meant to increment or
> decrement the counter. I need the absolute value afterwards.

Sorry, I did not appreciate the above.  I thought you wanted something
that counted upwards or downwards.  My reasoning was just that if you have
something counting down, and invert each bit, you will get something
counting up -- I was suggesting an inverter on the output bits.

Given the above, however... why not use two counters with a switch? i.e. 
Use one when you want to "add" a pulse, use the other when you want to
"subtract" it.  The absolute value is the difference between the two.

Again, the above is asked out of ignorance :).

-Steve


       Message was sent through the cbm-hackers mailing list

Archive generated by hypermail pre-2.1.8.