Re[2]: 6510 in FPGA works!!

From: groepaz (groepaz_at_gmx.net)
Date: 2002-04-16 15:44:31

  • Next message: ruud.baltissen_at_abp.nl: "RE: 6510 in FPGA works!!"
    Hello Marko,
    
    Tuesday, April 16, 2002, 3:17:12 PM, you wrote:
    
    MM> On Tue, 16 Apr 2002, Gideon Zweijtzer wrote:
    
    >> The FPGA implementation of the 6510 now runs perfectly. Before I was already
    >> able to get into the basic and play around a little, but I still got
    >> '?SYNTAX ERROR's at moments that I didn't expect them. BUT... the problem is
    >> fixed now, it was just a silly misinterpretation on my side of the
    >> documentation of the BIT instruction.
    
    MM> Congratulations!
    
    MM> What about JMP ($xxff), the decimal mode, and page boundary crossings?
    MM> The 64doc file even contains some test programs that you could try out.
    
    also have a look at the pc64 source (available at funet)... there is
    an extensive cpu-core testing suite in it which covers all the odd
    behaviour of decimal mode, page boundaries, illegals etc pp
    
    -- 
    Best regards,
     groepaz                            mailto:groepaz@gmx.net
    
    
    
           Message was sent through the cbm-hackers mailing list
    

    Archive generated by hypermail 2.1.4.