6510 in FPGA works!!

From: Gideon Zweijtzer (gideonz_at_dds.nl)
Date: 2002-04-16 14:48:57

  • Next message: Marko Mäkelä: "Re: Dead PET 2001 with 6550´er"
    To all!
    
    The people that also read the "CommodoreOne" message board probably already
    know that some time ago I started implementing a 6502 core and a 6510
    processor in VHDL. I also made a small adapter board with an Altera device
    on it and put it in a stock C-64 in the place where the "real" 6510 used to
    be. I am mailing this here, because an important milestone has been reached:
    
    --- original message on CommodoreOne ---
    
    The FPGA implementation of the 6510 now runs perfectly. Before I was already
    able to get into the basic and play around a little, but I still got
    '?SYNTAX ERROR's at moments that I didn't expect them. BUT... the problem is
    fixed now, it was just a silly misinterpretation on my side of the
    documentation of the BIT instruction.
    
    I tried to load JUMPMAN SR. from a floppy disk and run it and it seems to
    run just fine. :)
    
    For the dutch people around; I will take that C-64 with the FPGA to Maarssen
    next Saturday to show it to people who are interested.
    
    With kind regards,
    
    Gideon Zweijtzer
    
    PS. Milestone one has been reached... let's look forward to the second: a
    6502/6510 with sdram, and to further 'releases' of the 32-bit version!
    
    
           Message was sent through the cbm-hackers mailing list
    

    Archive generated by hypermail 2.1.4.