Re: VIC-II initialization.

From: Segher Boessenkool <segher_at_kernel.crashing.org>
Date: Sat, 29 May 2021 18:29:05 -0500
Message-ID: <20210529232905.GF3085_at_gate.crashing.org>
On Sat, May 29, 2021 at 09:56:13PM +0200, Michiel Boland wrote:
> I believe all registers in the VIC chip are initially zero,

They are not.  Usually you get what was there before reset.  At actual
power-up time you get random values: there is nothing that forces it any
certain way, although it may usually go one way in practice.

> All VIC-II registers are intialized later by the kernal.

And some have to be, see my previous post.


Segher
Received on 2021-05-30 02:00:03

Archive generated by hypermail 2.3.0.